提示信息

| 我正在尝试模拟一个测试平台。我也没有得到波形,但在提示符下得到了以下警告消息。是因为警告我的代码不模拟吗?
** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#           File in use by:   Hostname:   ProcessID: 0
#           Attempting to use alternate WLF file \"./wlftazxa4k\".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#           Using alternate file: ./wlftazxa4k
run
我也包括我的测试台,如下所示:
    module dec_tb;
    reg [63:0] FROM_IF_ID;
    reg CLK;
    wire [117:0] TO_ID_HMIC;
    integer k=0;
    inst_decode id(.from_if_id(FROM_IF_ID),.clk(CLK),.to_id_hmic(TO_ID_HMIC));

    initial 
     begin
       $monitor($time,\"clk=%b, fifid=%b, tidhm=%b\",CLK,FROM_IF_ID,TO_ID_HMIC);
       $display(\"qf\");
       CLK= 0;
       FROM_IF_ID[35:32]=4\'b1100; 
       FROM_IF_ID[63:36]=28\'b0000_10000_00100_01000_00010_0001;
     end

    always 
     begin
     #10 CLK= ~CLK;
     end
    always @(posedge CLK)
      begin
      $display (\" TO_ID_HMIC= %b\", TO_ID_HMIC);
      FROM_IF_ID[k] =~FROM_IF_ID[k]; 
      k=k+1;
      #500 $finish;
      end
    endmodule
    
已邀请:
如果那是唯一的消息,则模拟运行,您可以在指定的备用文件(
wlftazxa4k
)中找到波浪。 如果您想解决问题,以便在vsim.wlf中显示这些波形,请尝试以下操作: 确保您没有任何杂散模型运行 确保在波形查看器中没有打开“ 3” 手动删除
vsim.wlf
,然后重新运行     
我也有这个问题。事实证明,我的vsim文件所在的目录已满(学校分区,允许的空间为600mb)。清除了我闲散的一些旧文件后,该程序运行良好。     
您的测验: 模拟运行 使用gui或命令退出模拟:
quit -sim
转到第1步,玩得开心。 您可以删除modelsim创建的缓存文件。     

要回复问题请先登录注册