Verilog驱动信号在同一根导线上

| 我通过互联网浏览,找不到对我的问题的清晰简洁的答案。我想知道如果在同一根导线上驱动相同强度的信号会发生什么情况,其中一个是逻辑1,另一个是逻辑0?如果我想根据不同的情况缺少更好的字眼来表达“获胜”的信号,该怎么办?     
已邀请:
根据您的评论,听起来您需要三态总线。驱动三态总线的基本结构是:
assign bus = enable ? out : 1\'bz;
驱动总线的每个模块都有这种形式的驱动器。任何时候只有一个模块可以启用其启用;总线协议应定义如何确定总线所有权。例如,像I2C这样的串行总线有一个“主”和一个“从”。主机总是先讲话,而从机只有在主机请求后才讲话。 如果您不希望总线在无人驾驶时浮动(在模拟中,它显示为Z值),则可以将总线声明为
tri0
tri1
而不是常规的
wire
。 如果多个模块同时声明了启用,或者您有多个标准的“ 4”驱动程序试图在总线上驱动不同的值,则称为“争用”。这将在仿真中显示为X值,并可能损坏物理设备中的驱动程序。     
  我想知道如果我会发生什么   将相同强度的信号驱动到   同一根导线,其中之一是逻辑1   另一个是逻辑0? 如果负载是一个简单的网络,它将被分配为StX(Strong X)。   如果我想发出信号该怎么办   \“ win \”,因为缺少更好的词,   根据情况? 您是在问如何在Verilog中对此建模或如何在MOS设备中进行建模吗?     

要回复问题请先登录注册